AXI muckbucket
testbench.sv
Go to the documentation of this file.
1 // Code your testbench here
2 // or browse Examples
3 
4 #include "params_pkg.sv"
5 
6 #include "axi_uvm_pkg.sv"
7 
8 #include "tb.sv"