AXI muckbucket
File List
Here is a list of all files with brief descriptions:
[detail level 12]
  rtl
 axi_if.sv
 axi_pkg.sv
 axi_uvm_pkg.sv
 design.sv
  tb
 axi_agent.svh
 axi_agent_config.svh
 axi_base_test.svh
 axi_coveragecollector.svh
 axi_driver.svh
 axi_env.svh
 axi_env_config.svh
 axi_if_abstract.svh
 axi_monitor.svh
 axi_pipelined_reads_seq.svh
 axi_pipelined_reads_test.svh
 axi_pipelined_writes_seq.svh
 axi_pipelined_writes_test.svh
 axi_responder.svh
 axi_responder_seq.svh
 axi_scoreboard.svh
 axi_seq.svh
 axi_seq_item.svh
 axi_sequencer.svh
 axi_sequential_reads_seq.svh
 axi_sequential_reads_test.svh
 axi_sequential_writes_seq.svh
 axi_sequential_writes_test.svh
 axi_slave_driver.svh
 memory.svh
 params_pkg.sv
 tb.sv
 testbench.sv