45 new (
string name=
"axi_base_test", uvm_component parent=null) {
46 super.
new(name, parent);
53 super.build_phase(phase);
55 m_env = axi_env::type_id::create(
"m_env",
this);
57 m_seq = axi_seq::type_id::create(
"m_seq");
59 if ($value$plusargs(
"transactions=%d", transactions)) {
60 uvm_info(
"plusargs", $sformatf(
"TRANSACTIONS: %0d", transactions), UVM_INFO)
65 m_resp_seq = axi_responder_seq::type_id::create(
"m_resp_seq");
71 phase.raise_objection(
this);
79 phase.drop_objection(
this);
void set_transaction_count(int count)
How many transactions?
void build_phase(uvm_phase phase)
base test. AXI tests are to be extended from this test.
axi_sequencer m_driver_seqr
axi_responder_seq m_resp_seq
task run_phase(uvm_phase phase)
Forever running sequence that setups up responder *ready toggle patterns, then receives TLM packet fr...
uvm_object_utils(axi_responder_seq) uvm_declare_p_sequencer(axi_sequencer) logic<7 new(string name="axi_responder_seq")
Constructor.
uvm_component_utils(axi_base_test) axi_env m_env
Writes to memory over AXI, backdoor readback, then AXI readback.
Creates two active AXI agents, one master and one slave/responder, plus a memory. ...
axi_sequencer m_responder_seqr