AXI muckbucket
axi_sequencer.svh
Go to the documentation of this file.
1 //
3 // Copyright (C) 2017, Matt Dew @ Dew Technologies, LLC
4 //
5 // This program is free software (logic verification): you can redistribute it
6 // and/or modify it under the terms of the GNU Lesser General Public License (LGPL)
7 // as published by the Free Software Foundation, either version 3 of the License,
8 // or (at your option) any later version.
9 //
10 // This program is distributed in the hope that it will be useful, but WITHOUT
11 // ANY WARRANTY; without even the implied warranty of MERCHANTIBILITY or
12 // FITNESS FOR A PARTICULAR PURPOSE. See the GNU Lesser General Public License
13 // for more details.
14 //
15 // License: LGPL, v3, as defined and found on www.gnu.org,
16 // http://www.gnu.org/licenses/lgpl.html
17 //
18 //
19 // Author's intent: If you use this AXI verification code and find or fix bugs
20 // or make improvements, then share those fixes or improvements.
21 // If you use this in a bigger project, I don't care about,
22 // or want, any changes or code outside this block.
23 // Example: If you use this in an SoC simulation/testbench
24 // I don't want, or care about, your SoC or other blocks.
25 // I just care about the enhancements to these AXI files.
26 // That's why I have choosen the LGPL instead of the GPL.
28 
34 class axi_sequencer : public uvm_sequencer <axi_seq_item> { public:
36 
37  uvm_analysis_export <axi_seq_item> request_export;
38  uvm_tlm_analysis_fifo <axi_seq_item> request_fifo;
39 
40 
41  new(string name, uvm_component parent);
42  void build_phase(uvm_phase phase);
43  void connect_phase(uvm_phase phase);
44 
45 };
46 
50  axi_sequencer::new(string name, uvm_component parent) {
51  super.new(name, parent);
52 }
53 
55  void axi_sequencer::build_phase(uvm_phase phase) {
56  super.build_phase(phase);
57  request_fifo = new("request_fifo", this);
58  request_export = new("request_export", this);
59 }
60 
62  void axi_sequencer::connect_phase(uvm_phase phase) {
63  super.connect_phase(phase);
64  request_export.connect(request_fifo.analysis_export);
65 }
66 
Normal sequencer with an extra analysis fifo and export.
uvm_tlm_analysis_fifo< axi_seq_item > request_fifo
void connect_phase(uvm_phase phase)
Connects the analysis export and fifo.
new(string name, uvm_component parent)
Constructor.
uvm_component_utils(axi_sequencer) uvm_analysis_export< axi_seq_item > request_export
void build_phase(uvm_phase phase)
Creates the analysis export and analysis fifo.