AXI muckbucket
Public Member Functions | Public Attributes | List of all members
axi_agent_config Class Reference

Configuration object for an axi_agent. More...

Inheritance diagram for axi_agent_config:
Inheritance graph
[legend]
Collaboration diagram for axi_agent_config:
Collaboration graph
[legend]

Public Member Functions

 uvm_object_utils (axi_agent_config) uvm_active_passive_enum m_active
 
 new (string name="axi_agent_config")
 Constructor. More...
 

Public Attributes

driver_type_t drv_type = e_DRIVER
 
bit has_scoreboard = 0b1
 
bit has_coverage = 0b1
 
bit rvalid []
 
bit wvalid []
 
rand bit< 31:0 > bready_toggle_pattern
 
rand bit< 31:0 > rready_toggle_pattern
 
rand bit< 31:0 > awready_toggle_pattern
 
rand bit< 31:0 > wready_toggle_pattern
 
rand bit< 31:0 > arready_toggle_pattern
 
rand byte min_clks_between_aw_transfers =0
 
rand byte max_clks_between_aw_transfers =0
 
rand byte min_clks_between_w_transfers =0
 
rand byte max_clks_between_w_transfers =0
 
rand byte min_clks_between_b_transfers =0
 
rand byte max_clks_between_b_transfers =0
 
rand byte min_clks_between_ar_transfers =0
 
rand byte max_clks_between_ar_transfers =0
 
rand byte min_clks_between_r_transfers =0
 
rand byte max_clks_between_r_transfers =0
 
bit axi_incompatible_awvalid_toggling_mode =0
 
bit axi_incompatible_wvalid_toggling_mode =0
 
bit axi_incompatible_bvalid_toggling_mode =0
 
bit axi_incompatible_rvalid_toggling_mode =0
 
byte clks_without_wvalid_or_wready_max =25
 
byte clks_without_rvalid_or_rready_max =25
 

Detailed Description

Configuration object for an axi_agent.

Contains all the information needed for an agent to:

Definition at line 38 of file axi_agent_config.svh.

Member Function Documentation

axi_agent_config::new ( string  name = "axi_agent_config")

Constructor.

Doesn't actually do anything except call parent constructor

Definition at line 112 of file axi_agent_config.svh.

Referenced by axi_sequential_reads_test::new(), axi_sequential_writes_test::new(), axi_pipelined_reads_test::new(), and axi_pipelined_writes_test::new().

Here is the caller graph for this function:

axi_agent_config::uvm_object_utils ( axi_agent_config  )

Active or passive

Member Data Documentation

rand bit<31:0> axi_agent_config::arready_toggle_pattern

Definition at line 64 of file axi_agent_config.svh.

Referenced by axi_responder::read_address().

rand bit<31:0> axi_agent_config::awready_toggle_pattern

Definition at line 62 of file axi_agent_config.svh.

Referenced by axi_responder::write_address().

bit axi_agent_config::axi_incompatible_awvalid_toggling_mode =0

Definition at line 87 of file axi_agent_config.svh.

bit axi_agent_config::axi_incompatible_bvalid_toggling_mode =0

Definition at line 89 of file axi_agent_config.svh.

bit axi_agent_config::axi_incompatible_rvalid_toggling_mode =0

Definition at line 91 of file axi_agent_config.svh.

Referenced by axi_responder::read_data().

bit axi_agent_config::axi_incompatible_wvalid_toggling_mode =0
rand bit<31:0> axi_agent_config::bready_toggle_pattern

Definition at line 58 of file axi_agent_config.svh.

Referenced by axi_driver::write_response().

byte axi_agent_config::clks_without_rvalid_or_rready_max =25

Definition at line 97 of file axi_agent_config.svh.

Referenced by axi_responder::read_data().

byte axi_agent_config::clks_without_wvalid_or_wready_max =25

Definition at line 96 of file axi_agent_config.svh.

Referenced by axi_driver::write_data().

driver_type_t axi_agent_config::drv_type = e_DRIVER
bit axi_agent_config::has_coverage = 0b1

Definition at line 46 of file axi_agent_config.svh.

Referenced by axi_agent::build_phase(), and axi_agent::connect_phase().

bit axi_agent_config::has_scoreboard = 0b1

Definition at line 45 of file axi_agent_config.svh.

Referenced by axi_agent::build_phase(), and axi_agent::connect_phase().

rand byte axi_agent_config::max_clks_between_ar_transfers =0

Definition at line 78 of file axi_agent_config.svh.

Referenced by axi_driver::read_address().

rand byte axi_agent_config::max_clks_between_aw_transfers =0

Definition at line 69 of file axi_agent_config.svh.

Referenced by axi_driver::write_address().

rand byte axi_agent_config::max_clks_between_b_transfers =0

Definition at line 75 of file axi_agent_config.svh.

Referenced by axi_responder::write_response().

rand byte axi_agent_config::max_clks_between_r_transfers =0

Definition at line 81 of file axi_agent_config.svh.

Referenced by axi_responder::read_data().

rand byte axi_agent_config::max_clks_between_w_transfers =0

Definition at line 72 of file axi_agent_config.svh.

Referenced by axi_driver::write_data().

rand byte axi_agent_config::min_clks_between_ar_transfers =0

Definition at line 77 of file axi_agent_config.svh.

Referenced by axi_driver::read_address().

rand byte axi_agent_config::min_clks_between_aw_transfers =0

Definition at line 68 of file axi_agent_config.svh.

Referenced by axi_driver::write_address().

rand byte axi_agent_config::min_clks_between_b_transfers =0

Definition at line 74 of file axi_agent_config.svh.

Referenced by axi_responder::write_response().

rand byte axi_agent_config::min_clks_between_r_transfers =0

Definition at line 80 of file axi_agent_config.svh.

Referenced by axi_responder::read_data().

rand byte axi_agent_config::min_clks_between_w_transfers =0

Definition at line 71 of file axi_agent_config.svh.

Referenced by axi_driver::write_data().

rand bit<31:0> axi_agent_config::rready_toggle_pattern

Definition at line 59 of file axi_agent_config.svh.

Referenced by axi_driver::read_data().

bit axi_agent_config::rvalid[]

Definition at line 50 of file axi_agent_config.svh.

Referenced by axi_monitor::read_address().

rand bit<31:0> axi_agent_config::wready_toggle_pattern

Definition at line 63 of file axi_agent_config.svh.

Referenced by axi_responder::write_data().

bit axi_agent_config::wvalid[]

Definition at line 51 of file axi_agent_config.svh.

Referenced by axi_driver::write_data().


The documentation for this class was generated from the following file: