AXI muckbucket
Functions
Interfaces

Functions

template<parameter C_AXI_ID_WIDTH = 6, parameter C_AXI_ADDR_WIDTH = 32, parameter C_AXI_DATA_WIDTH = 32, parameter C_AXI_LEN_WIDTH = 8>
interface axi_if (input wire clk, input wire reset, inout wire awready, inout wire< C_AXI_ID_WIDTH-1:0 > awid, inout wire< C_AXI_ADDR_WIDTH-1:0 > awaddr, inout wire< C_AXI_LEN_WIDTH-1:0 > awlen, inout wire< 2:0 > awsize, inout wire< 1:0 > awburst, inout wire< 0:0 > awlock, inout wire< 3:0 > awcache, inout wire< 2:0 > awprot, inout wire< 3:0 > awqos, inout wire awvalid, inout wire wready, inout wire< C_AXI_DATA_WIDTH-1:0 > wdata, inout wire< C_AXI_DATA_WIDTH/8-1:0 > wstrb, inout wire wlast, inout wire wvalid, inout wire< C_AXI_ID_WIDTH-1:0 > bid, inout wire< 1:0 > bresp, inout wire bvalid, inout wire bready, inout wire arready, inout wire< C_AXI_ID_WIDTH-1:0 > arid, inout wire< C_AXI_ADDR_WIDTH-1:0 > araddr, inout wire< C_AXI_LEN_WIDTH-1:0 > arlen, inout wire< 2:0 > arsize, inout wire< 1:0 > arburst, inout wire< 0:0 > arlock, inout wire< 3:0 > arcache, inout wire< 2:0 > arprot, inout wire< 3:0 > arqos, inout wire arvalid, inout wire< C_AXI_ID_WIDTH-1:0 > rid, inout wire< 1:0 > rresp, inout wire rvalid, inout wire< C_AXI_DATA_WIDTH-1:0 > rdata, inout wire rlast, inout wire rready)
 bindable interface for AXI UVM environment More...
 

Detailed Description

Function Documentation

template<parameter C_AXI_ID_WIDTH = 6, parameter C_AXI_ADDR_WIDTH = 32, parameter C_AXI_DATA_WIDTH = 32, parameter C_AXI_LEN_WIDTH = 8>
interface axi_if ( input wire  clk,
input wire  reset,
inout wire  awready,
inout wire< C_AXI_ID_WIDTH-1:0 >  awid,
inout wire< C_AXI_ADDR_WIDTH-1:0 >  awaddr,
inout wire< C_AXI_LEN_WIDTH-1:0 >  awlen,
inout wire< 2:0 >  awsize,
inout wire< 1:0 >  awburst,
inout wire< 0:0 >  awlock,
inout wire< 3:0 >  awcache,
inout wire< 2:0 >  awprot,
inout wire< 3:0 >  awqos,
inout wire  awvalid,
inout wire  wready,
inout wire< C_AXI_DATA_WIDTH-1:0 >  wdata,
inout wire< C_AXI_DATA_WIDTH/8-1:0 >  wstrb,
inout wire  wlast,
inout wire  wvalid,
inout wire< C_AXI_ID_WIDTH-1:0 >  bid,
inout wire< 1:0 >  bresp,
inout wire  bvalid,
inout wire  bready,
inout wire  arready,
inout wire< C_AXI_ID_WIDTH-1:0 >  arid,
inout wire< C_AXI_ADDR_WIDTH-1:0 >  araddr,
inout wire< C_AXI_LEN_WIDTH-1:0 >  arlen,
inout wire< 2:0 >  arsize,
inout wire< 1:0 >  arburst,
inout wire< 0:0 >  arlock,
inout wire< 3:0 >  arcache,
inout wire< 2:0 >  arprot,
inout wire< 3:0 >  arqos,
inout wire  arvalid,
inout wire< C_AXI_ID_WIDTH-1:0 >  rid,
inout wire< 1:0 >  rresp,
inout wire  rvalid,
inout wire< C_AXI_DATA_WIDTH-1:0 >  rdata,
inout wire  rlast,
inout wire  rready 
)