AXI muckbucket
Public Member Functions | List of all members
axi_coveragecollector Class Reference

Collects coverage. More...

Inheritance diagram for axi_coveragecollector:
Inheritance graph
[legend]
Collaboration diagram for axi_coveragecollector:
Collaboration graph
[legend]

Public Member Functions

 uvm_component_utils (axi_coveragecollector) covergroup aw_cg with function sample(axi_seq_item item)
 
covergroup ar_cg with function sample (axi_seq_item item)
 
 new (string name="axi_coveragecollector", uvm_component parent=null)
 Constructor. More...
 
virtual void write (axi_seq_item t)
 covergroup sampling More...
 

Detailed Description

Collects coverage.

Write Address and Read Address simple coverage (burst_size, burst_type and awlen)

Definition at line 33 of file axi_coveragecollector.svh.

Member Function Documentation

axi_coveragecollector::new ( string  name = "axi_coveragecollector",
uvm_component  parent = null 
)

Constructor.

allocates covergroups

Definition at line 101 of file axi_coveragecollector.svh.

covergroup ar_cg with function axi_coveragecollector::sample ( axi_seq_item  item)
inline
axi_coveragecollector::uvm_component_utils ( axi_coveragecollector  )
inline
void axi_coveragecollector::write ( axi_seq_item  t)
virtual

covergroup sampling

will update coverage bins, etc.

Definition at line 113 of file axi_coveragecollector.svh.

References axi_seq_item::cmd, axi_seq_item::convert2string(), e_READ, and e_WRITE.

Referenced by sample().

Here is the call graph for this function:

Here is the caller graph for this function:


The documentation for this class was generated from the following file: