39 option.per_instance = 0;
41 BURSTSIZE : coverpoint item.burst_size {
52 BURSTTYPE : coverpoint item.burst_type {
58 AWLEN : coverpoint item.axlen[
LEN_WIDTH-1:0];
66 option.per_instance = 0;
93 new(
string name=
"axi_coveragecollector", uvm_component parent=null);
102 super.new(name, parent);
114 uvm_info(this.get_type_name(), $sformatf(
"%s", t.
convert2string()), UVM_HIGH)
string convert2string()
Convert item's variable into one printable string.
virtual void write(axi_seq_item t)
covergroup sampling
uvm_component_utils(axi_coveragecollector) covergroup aw_cg with function sample(axi_seq_item item)
covergroup ar_cg with function sample(axi_seq_item item)
rand logic< 2:0 > burst_size
new(string name="axi_coveragecollector", uvm_component parent=null)
Constructor.
bit< LEN_WIDTH-1:0 > axlen
rand logic< 1:0 > burst_type
contains all data and functions related to axi and usage