AXI muckbucket
Public Member Functions | Public Attributes | List of all members
axi_sequential_writes_test Class Reference

Sequential AXI writes. No pipelining. More...

Inheritance diagram for axi_sequential_writes_test:
Inheritance graph
[legend]
Collaboration diagram for axi_sequential_writes_test:
Collaboration graph
[legend]

Public Member Functions

 uvm_component_utils (axi_sequential_writes_test) axi_agent_config driver_agent_config
 
 new (string name="axi_sequential_writes_test", uvm_component parent=null)
 
void build_phase (uvm_phase phase)
 
task run_phase (uvm_phase phase)
 
 uvm_component_utils (axi_base_test) axi_env m_env
 

Public Attributes

axi_agent_config responder_agent_config
 
axi_seq m_seq
 
axi_responder_seq m_resp_seq
 

Detailed Description

Sequential AXI writes. No pipelining.

Send WriteAddress, then Write, then Write Response, then backdoor read the memory and verify. Then repeat.

Definition at line 34 of file axi_sequential_writes_test.svh.

Member Function Documentation

void axi_sequential_writes_test::build_phase ( uvm_phase  phase)
inline

Definition at line 45 of file axi_sequential_writes_test.svh.

References axi_agent_config::drv_type, e_DRIVER, and e_RESPONDER.

axi_sequential_writes_test::new ( string  name = "axi_sequential_writes_test",
uvm_component  parent = null 
)
inline

Definition at line 41 of file axi_sequential_writes_test.svh.

References axi_agent_config::new().

Here is the call graph for this function:

task axi_sequential_writes_test::run_phase ( uvm_phase  phase)
inline
axi_sequential_writes_test::uvm_component_utils ( axi_sequential_writes_test  )
axi_base_test::uvm_component_utils ( axi_base_test  )
inherited

Member Data Documentation

axi_responder_seq axi_base_test::m_resp_seq
inherited
axi_seq axi_base_test::m_seq
inherited
axi_agent_config axi_sequential_writes_test::responder_agent_config

Definition at line 39 of file axi_sequential_writes_test.svh.


The documentation for this class was generated from the following file: